CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 译码器 VHDL

搜索资源列表

  1. 译码器

    0下载:
  2. 通过对用硬件描述语言VHDL表示的某个专用部件(如中断控制器、差错控制码编码/译码器,此为译码器)的代码分析,构建它的逻辑结构,加深对相关部件设计技术的理解。 试验平台:MaxPlusII -through the use of VHDL hardware descr iption language said a special components (such as interrupt controllers, error control coding / decoding devic
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:30156
    • 提供者:johnmad
  1. 一些译码器源代码

    0下载:
  2. 内有LED译码器,汉明纠错译码器,地址译码器,最高优先译码器,双2-4译码器等VHDL的源代码-decoder, Hamming error correction decoder, address decoder, the highest priority decoder, dual 2-4 decoder such as VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3903
    • 提供者:蔡孟颖
  1. 3-8译码器

    0下载:
  2. vhdl的3-8译码器-instantiate the 3-8 decoder
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:999504
    • 提供者:熊辉波
  1. 汉明纠错码译码器源程序

    0下载:
  2. 汉明纠错码译码器的VHDL源程序
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-03
    • 文件大小:3335
    • 提供者:yxpsc@tom.com
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. VHDL语言实现3—8译码器

    1下载:
  2. 应用VHDL语言编写的3—8译码器,简单易懂
  3. 所属分类:VHDL编程

  1. RSdecoder.rar

    0下载:
  2. cpld/fpga RS(204,188)译码器的verilog程序,cpld/fpga RS (204,188) decoder of the Verilog program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:13784
    • 提供者:陈臣
  1. viterbi

    2下载:
  2. verilog程序,实现了(2,1,4)卷积码编码,和基于回溯算法的维特比译码器-verilog program to achieve the (2,1,4) convolutional code encoding, and algorithm based on the back of the Viterbi decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:2881
    • 提供者:xiongherui
  1. ps

    0下载:
  2. RS(204,188)译码器的设计 异步FIFO设计 伪随即序列应用设计 CORDIC数字计算机的设计 CIC的设计 除法器的设计 加罗华域的乘法器设计-RS (204188) decoder design of asynchronous FIFO design application design sequence was pseudo-CORDIC design of digital computer design CIC divider design Le Hua
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:48108
    • 提供者:苏晓东
  1. Viterbi

    0下载:
  2. Viterbi译码器的FPGA实现代码,来在国外大学论坛.-Viterbi decoder implementation of the FPGA code to the Forum at foreign universities.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:7823
    • 提供者:蔡敏
  1. VHDL

    0下载:
  2. 用VHDL语言设计七段显示译码器用VHDL语言设计七段显示译码器-VHDL language design with seven-segment display decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:518
    • 提供者:冷与
  1. led

    0下载:
  2. 七段LED数码显示器是数字系统中常用的数码显示元件,二进制数不能直接在LED数码管上显示,需要用一个BCD七段译码器进行译码。下图给出了一个七段显示译码器的框图及相应的七段LED数码管的示意图。-Seven-segment LED digital display is commonly used in digital systems digital display devices, a binary number can not be directly displayed on the LED
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:29440
    • 提供者:乐天猫
  1. xiandaiyidongtongxin

    0下载:
  2. 介绍了目前在数字无线通信中常用的一种向前纠错编码卷积码编码和Viterbi解码的原 理,并采用TOP—DOWN的设计思想,利用相关的EDA工具软件进行设计。并将卷积码编码器、Viterbi译码器设计下载到Ahera公司的FPGA芯片上进行仿真,得到了预期的设计结果。-Viterbi
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-17
    • 文件大小:234518
    • 提供者:徐军
  1. 3-8xianyimaqi

    0下载:
  2. VHDL语言实现3-8线译码器,带仿真波形图,和管脚分布图-VHDLLanguage 3-8 line decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:31016
    • 提供者:该改
  1. 3 8

    0下载:
  2. 用VHDL多种方法实现3-8译码器,元件例化(use VHDL realize 3-8decoder)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:10240
    • 提供者:硅谷大帝
  1. decoder38-ok-38译码器

    0下载:
  2. 使用quartus2软件的VHDL编写了简单38译码器,希望大家能积极学习(The use of quartus2 software VHDL prepared a simple 38 decoder, I hope we can actively study)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 3-8译码器

    0下载:
  2. 基于vhdl的3-8译码器的代码输入、测试平台及仿真(Code input of 3-8 decoders)
  3. 所属分类:软件工程

    • 发布日期:2018-01-08
    • 文件大小:1024
    • 提供者:莫小依
  1. vhdl译码显示器设计

    0下载:
  2. vhdl译码显示器设计,用quartus2软件编写,可实现数码管的显示译码功能。(VHDL decipher display design, written in quartus2 software, can realize the display and decoding function of the digital tube.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:7680000
    • 提供者:YXT800
  1. ENCODER38

    0下载:
  2. 基于fpga的vhdl的3-8译码器程序。可以有效译码(3 8 decoder base on vhdl.)
  3. 所属分类:通讯编程

    • 发布日期:2018-04-22
    • 文件大小:293888
    • 提供者:Mr.zeal
  1. 3-8译码器VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4;利用XIlinX编程,使用VHDL语言来描述组合逻辑器件3-8译码器(In the FPGA:Spartan-3E development board series, XC3S500E, FGT320, -4 package: speed; the use of XIlinX programming, using VHDL language to describe the combination
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:10240
    • 提供者:lixilin
« 12 3 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com